wfstack: return whether pop is popping the last element
This page took 0.031121 seconds and 3 git commands to generate.