From: Michael Jeanson Date: Thu, 17 Mar 2022 17:45:51 +0000 (-0400) Subject: fix: __STDC_VERSION__ can be undefined in C++ X-Git-Tag: v2.13.2~1 X-Git-Url: https://git.lttng.org/?a=commitdiff_plain;h=09e0e70888089f7ad48d6a5b88fea6fd32701600;hp=09e0e70888089f7ad48d6a5b88fea6fd32701600;p=lttng-ust.git fix: __STDC_VERSION__ can be undefined in C++ Caught on SLES12 with g++ 4.8 when enabling '-Wundef'. Change-Id: Ib027f224a4f0ef021beb1709d8a626db62fe6d9c Signed-off-by: Michael Jeanson Signed-off-by: Mathieu Desnoyers ---